On Reusing the Results of Pre-Executed Instructions in a Runahead Execution Processor

IEEE Computer Architecture Letters(2005)

引用 43|浏览4
暂无评分
摘要
Previous research on runahead execution took it for granted as a prefetch-only technique. Even though the results of instructions independent of an L2 miss are correctly computed during runahead mode, previous approaches discarded those results instead of trying to utilize them in normal mode execution. This paper evaluates the effect of reusing the results of preexecuted instructions on performance. We find that, even with an ideal scheme, it is not worthwhile to reuse the results of preexecuted instructions. Our analysis provides insights into why result reuse does not provide significant performance improvement in runahead processors and concludes that runahead execution should be employed as a prefetching mechanism rather than a full-blown prefetching/result-reuse mechanism.
更多
查看译文
关键词
full-blown prefetching,preexecuted instruction,runahead execution,result reuse,runahead execution processor,prefetching mechanism,pre-executed instructions,previous research,normal mode execution,runahead processor,previous approach,runahead mode,memory latency,benchmark testing,computational modeling,register file,bandwidth,registers,normal modes
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要