谷歌浏览器插件
订阅小程序
在清言上使用

MULTICUBE: Multi-Objective Design Space Exploration of Multi-Core Architectures

ISVLSI '10 Proceedings of the 2010 IEEE Annual Symposium on VLSI(2010)

引用 69|浏览4
暂无评分
摘要
Given the increasing complexity of Chip Multi-Processors (CMPs), a wide range of architecture parameters must be explored at design time to find the best trade-off in terms of multiple competing objectives (such as energy, delay, bandwidth, area, etc.) The design space of the target architectures is huge because it should consider all possible combinations of each hardware parameter (e.g., number of processors, processor issue width, L1 and L2 cache sizes, etc.). In this complex scenario, intuition and past experience of design architects is no more a sufficient condition to converge to an optimal design of the system. Indeed, Automatic Design Space Exploration (DSE) is needed to systematically support the analysis and quantitative comparison of a large amount of design alternatives in terms of multiple competing objectives (by means of Pareto analysis). The main goal of the MULTICUBE project consists of the definition of an automatic Design Space Exploration framework to support the design of next generation many-core architectures .
更多
查看译文
关键词
Design Space,Pareto Front,Pareto Frontier,Cache Size,Design Space Exploration
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要