Hardware acceleration for similarity measurement in natural language processing

ISLPED(2013)

引用 19|浏览73
暂无评分
摘要
The continuation of Moore's law scaling, but in the absence of Dennard scaling, motivates an emphasis on energy-efficient accelerator-based designs for future applications. In natural language processing, the conventional approach to automatically analyze vast text collections---using scale-out processing---incurs high energy and hardware costs since the central compute-intensive step of similarity measurement often entails pair-wise, all-to-all comparisons. We propose a custom hardware accelerator for similarity measures that leverages data streaming, memory latency hiding, and parallel computation across variable-length threads. We evaluate our design through a combination of architectural simulation and RTL synthesis. When executing the dominant kernel in a semantic indexing application for documents, we demonstrate throughput gains of up to 42x and 58x lower energy per similarity-computation compared to an optimized software implementation, while requiring less than 1.3% of the area of a conventional core.
更多
查看译文
关键词
design,experimentation,hardware acceleration,similarity measures,measurement,electronics,cosine similarity,natural language processing,performance,parallel processing
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要