Analysis And Optimization Of Nbti Induced Clock Skew In Gated Clock Trees

DATE '09: Proceedings of the Conference on Design, Automation and Test in Europe(2009)

引用 35|浏览376
暂无评分
摘要
NBTI (Negative Bias Temperature Instability) has emerged as the dominant PMOS device failure mechanism for sub-100nm VLSI designs. There is little research to quantify its impact on skew of clock trees. This paper demonstrates a mathematical framework to compute the impact of NBTI on gating-enabled clock tree considering their workload dependent temperature variation. Circuit design techniques are proposed to deal with NBTI induced clock skew by achieving balance in NBTI degradation of clock devices. Our technique achieves up-to 70% reduction in clock skew degradation with miniscule (<0.1%) power and area penalty.
更多
查看译文
关键词
VLSI,circuit optimisation,clocks,integrated circuit design,integrated circuit reliability,nanoelectronics,semiconductor device reliability,thermal stability,NBTI induced clock skew,PMOS device failure mechanism,VLSI design,gated clock tree,negative bias temperature instability,optimization,size 100 nm,
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要