A Correctness Proof of a Speculative, Superscalar, Out-of-Order, Renaming Microarchitecture

msra(1998)

引用 28|浏览10
暂无评分
摘要
Microarchitects are increasingly using techniques such as speculation, register renaming, and superscalar out-of-order execution to make use of instruction-level parallelism. However, the growing complexity of modern microprocessors exacerbates the difficulty of relating them to the simple machines that they emulate. Flaws found later in lower-level validation are often microarchitectural in nature. In this paper we provide high-level mathematical specifications for a basic machine and for a...
更多
查看译文
关键词
out of order,out of order execution
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要