Design, integration and implementation of the DySER hardware accelerator into OpenSPARC

HPCA(2012)

引用 66|浏览103
暂无评分
摘要
Accelerators and specialization in various forms are emerging as a way to increase processor performance. Examples include Navigo, Conservation-Cores, BERET, and DySER. While each of these employ different primitives and principles to achieve specialization, they share some common concerns with regards to implementation. Two of these concerns are: how to integrate them with a commercial processor and how to develop their compiler toolchain. This paper undertakes an implementation study of one design point: integration of DySER into OpenSPARC, a design we call OpenSPlySER. We report on our implementation exercise and quantitative results, and conclude with a set of our lessons learned. We demonstrate that DySER delivers on its goal of providing a non-intrusive accelerator design. OpenSPlySERruns on an Virtex-5 FPGA, boots unmodified Linux, and runs most of the SPECINT benchmarks with our compiler. Due to physical design constraints, speedups on full benchmarks are modest for the FPGA prototype. On targeted microbenchmarks, OpenSPlySER delivers up to a 31-fold speedup over the baseline OpenSPARC. We conclude with some lessons learned from this somewhat unique exercise of significantly modifying a commercial processor. To the best of our knowledge, this work is one of the most ambitious extensions of OpenSPARC.
更多
查看译文
关键词
implementation exercise,design point,physical design constraint,dyser hardware accelerator,processor performance,baseline opensparc,implementation study,non-intrusive accelerator design,specint benchmarks,fpga prototype,commercial processor,field programmable gate arrays,benchmark testing,registers,logic design,linux,prototypes,pipelines,instruction sets,hardware accelerator,field programmable gate array,debugging,physical design
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要