GlYFF: A framework for global yield and floorplan aware design optimization

ISQED(2015)

引用 0|浏览7
暂无评分
摘要
Diminishing yields for modern CMOS and emerging technologies have become a major growing concern for IC manufacturers due to its direct impact on revenue. To this end, “Design for Yield (DFY)” have been proposed to proactively address manufacturing yield issues in the system design stage. While many DFY approaches have been developed for caches, GPUs and CPUs, they remain decoupled from each other, which is not ideal for modern microprocessors or MPSoCs that integrate multiple components onto a single die. In this paper we introduce “Global Yield and Floorplan Aware Design Optimization Framework (GlYFF)”, a holistic computer-aided DFY framework that unifies redundancy based yield-centric design optimizations and floorplanning for MP-SoCs. GlYFF recognizes the different yield enhancement strategies for different on-die components, and is able to output detailed floorplans for accurate area/performance measurements. We demonstrate that compared to a segregated DFY methodology, GlYFF can achieve ∼20% improvement in yield-per-area, a metric strongly correlated to revenue.
更多
查看译文
关键词
system on chip,design optimization,redundancy,floor plan,integrated circuit layout,measurement,cmos,multicore processing
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要