Buffering global interconnects in structured ASIC design

Design Automation Conference, 2005. Proceedings of the ASP-DAC 2005. Asia and South Pacific(2005)

引用 0|浏览0
暂无评分
摘要
Structured ASICs present an attractive alternative to reducing design costs and turn around times in nanometer designs. As with conventional ASICs, such designs require global wires to be buffered. However, via-programmable designs must prefabricate and preplace buffers in the layout. This paper proposes a novel and accurate statistical estimation technique distributing prefabricated buffers through a layout. It employs Rent's rule to estimate the buffer distribution required for the layout, so that an appropriate structured ASIC may be selected for the design. Experimental results show that the estimation for a uniform buffer distribution is accurate and economic.
更多
查看译文
关键词
application specific integrated circuits,buffer circuits,integrated circuit design,integrated circuit interconnections,Rent rule,buffer distribution,global interconnects,global wires,nanometer design,prefabricated buffers,statistical estimation,structured ASIC design,
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要