Evaluation of interconnect fabrics for an embedded MPSoC in 28 nm FD-SOI

2015 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS)(2015)

引用 31|浏览34
暂无评分
摘要
Embedded many-core architectures contain dozens to hundreds of CPU cores that are connected via a highly scalable NoC interconnect. Our Multiprocessor-System-on-Chip CoreVA-MPSoC combines the advantages of tightly coupled bus-based communication with the scalability of NoC approaches by adding a CPU cluster as an additional level of hierarchy. In this work, we analyze different cluster interconnect implementations with 8 to 32 CPUs and compare them in terms of resource requirements and performance to hierarchical NoCs approaches. Using 28 nm FD-SOI technology the area requirement for 32 CPUs and AXI crossbar is 5.59 mm 2 including 23.61% for the interconnect at a clock frequency of 830 MHz. In comparison, a hierarchical MPSoC with 4 CPU cluster and 8 CPUs in each cluster requires only 4.83 mm 2 including 11.61% for the interconnect. To evaluate the performance, we use a compiler for streaming applications to map programs to the different MPSoC configurations. We use this approach for a design-space exploration to find the most efficient architecture and partitioning for an application.
更多
查看译文
关键词
interconnect fabrics,embedded MPSoC,FD-SOI technology,embedded many-core architectures,CPU cores,highly scalable NoC interconnect,multiprocessor-system-on-chip,CoreVA-MPSoC,tightly coupled bus-based communication,cluster interconnect implementations,AXI crossbar,clock frequency,design-space exploration,size 28 nm,frequency 830 MHz,Si
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要