Lifesim: A Lifetime Reliability Simulator For Manycore Systems

2018 IEEE 8TH ANNUAL COMPUTING AND COMMUNICATION WORKSHOP AND CONFERENCE (CCWC)(2018)

引用 8|浏览12
暂无评分
摘要
The increasing demand for high-performance applications along with the advancement of technology, leading to power hungry manycore processors and rising chip temperature, have made the devices increasingly susceptible to wearout and aging resulting in early failure of the processing cores. The systemlevel analysis and optimization techniques offer a holistic view and ample opportunities to address lifetime reliability challenges, that can be explored and evaluated with the help of a fast and accurate simulation environment. This paper presents LifeSim, a simulation tool that integrates i) a state-of-the-art manycore simulator, ii) a thermal simulator and iii) a lifetime reliability analyzer. The simulation tool is easily configurable without any code modification and compilation, with the help of a configuration file. To facilitate the development of solutions to mitigate aging and improve lifetime reliability, we enhanced the simulator with scheduling and frequency control features. It offers both preemptive and non-preemptive scheduling along with an interface for dynamic voltage frequency scaling (DVFS). Further, it logs statistics such as power, temperature, aging, and mean time to failure (MTTF) and also generates graphs for visualization and easy comparison of the performance of the solution adopted by the user.
更多
查看译文
关键词
lifetime reliability, simulator, manycore systems
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要