A Custom 7nm CMOS Standard Cell Library for Implementing TNN-based Neuromorphic Processors

arxiv(2020)

引用 0|浏览11
暂无评分
摘要
A set of highly-optimized custom macro extensions is developed for a 7nm CMOS cell library for implementing Temporal Neural Networks (TNNs) that can mimic brain-like sensory processing with extreme energy efficiency. A TNN prototype (13,750 neurons and 315,000 synapses) for MNIST requires only 1.56mm2 die area and consumes only 1.69mW.
更多
查看译文
关键词
tnn-based
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要