谷歌浏览器插件
订阅小程序
在清言上使用

Towards Benchmarking GNSS Algorithms on FPGA using SyDR.

ICL-GNSS(2023)

引用 0|浏览8
暂无评分
摘要
Global Navigation Satellite System (GNSS) is widely used today for both positioning and timing purposes. Many distinct receiver chips are available off-the-shelf, each tailored to match various applications' requirements. Being implemented as Application-Specific Integrated Circuits, these chips provide good performance and low energy consumption but must be treated as "black boxes" by customers. This prevents modification, research in GNSS processing chain enhancement (e.g., application of Approximate Computing techniques), and design-space exploration for finding the optimal receiver implementation per each use case. In this paper, we review the development of SyDR, an open-source Software-Defined Radio oriented towards benchmarking of GNSS algorithms. Specifically, our goal is to integrate certain components of the GNSS processing chain in a Field-Programmable Gate Array and manage their operation with a Python program using the Xilinx PYNQ flow. We present the early steps of converting parts of SyDR to C, which will be later converted to Hardware Description Language descriptions using High-Level Synthesis. We demonstrate successful conversion of the tracking process and discuss benefits and drawbacks arising thereof, before outlining next steps in preparation for hardware implementation.
更多
查看译文
关键词
Benchmarking,Computational complexity,Field-programmable gate array (FPGA),Global Navigation Satellite System (GNSS),Open-source software
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要