Test Pattern Generation for Multiple Aggressor Crosstalk Effects Considering Gate Leakage Loading in Presence of Gate Delays

IEEE Transactions on Very Large Scale Integration (VLSI) Systems(2012)

引用 10|浏览0
暂无评分
摘要
Decreasing process geometries and increasing operating frequencies have made VLSI circuits more susceptible to signal integrity related failures. Capacitive crosstalk on long signal nets is of particular concern. A typical long net is capacitively coupled with multiple aggressors and also tend to have multiple fan-outs. Gate leakage current that originates in fan-out receivers, terminates in the driver causing a shift in driver output voltage. This effect becomes more prominent as gate oxide is scaled more aggressively. Thus, in nano-scale CMOS circuits, noise margin gets eroded by both aggressor crosstalk noise as well as gate leakage loading from fan-outs. In this paper, we present an automatic test pattern generation solution which uses 0–1 integer linear programming to maximize the cumulative voltage noise at a given victim net because of crosstalk and loading in conjunction with propagating the fault effect to an observation point. The target ISCAS benchmark circuits are assumed to have unit gate delays. Results demonstrate both the viability of a solution as well as a need to consider both sources of noise for signal integrity analysis. Pattern pairs generated by this technique are useful for both manufacturing test application as well as signal integrity verification.
更多
查看译文
关键词
CMOS integrated circuits,VLSI,automatic test pattern generation,crosstalk,driver circuits,integer programming,leakage currents,linear programming,ISCAS benchmark circuits,VLSI circuits,automatic test pattern generation,capacitive crosstalk,cumulative voltage noise,driver output voltage,fan-out receivers,fault effect,gate delays,gate leakage loading,integer linear programming,multiple aggressor crosstalk effects,multiple fan-outs,nanoscale CMOS circuits,signal integrity analysis,Aggressor,automatic test pattern generation (ATPG),capacitive cross-coupling,circuit transformation,integer linear programming (ILP),leakage,loading effect,max-satisfiability,signal integrity,victim
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要