Accurate Characterization of the Variability in Power Consumption in Modern Mobile Processors.

HotPower'12: Proceedings of the 2012 USENIX conference on Power-Aware Computing and Systems(2012)

引用 31|浏览19
暂无评分
摘要
The variability in performance and power consumption is slated to grow further with continued scaling of process technologies. While this variability has been studied and modeled before, there is lack of empirical data on its extent, as well as the factors affecting it, especially for modern general purpose microprocessors. Using detailed power measurements we show that the part to part variability for modern processors utilizing the Nehalem microarchitecture is indeed significant. We chose six Core i5-540M laptop processors marketed in the same frequency bins - thus presumed to be identical - and characterized their power consumption for a variety of representative single-threaded and multithreaded application workloads. Our data shows processor power variation ranging from 7%-17% across different applications and configuration options such as Hyper-Threading and Turbo Boost. We present our hypotheses on the underlying causes of this observed power variation and discuss its potential implications.
更多
查看译文
关键词
power consumption,detailed power measurement,observed power variation,processor power variation,part variability,empirical data,modern general purpose microprocessors,modern processor,Nehalem microarchitecture,configuration option,accurate characterization,modern mobile processor
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要