Conserving network processor power consumption by exploiting traffic variability

TACO(2007)

引用 40|浏览25
暂无评分
摘要
Network processors (NPs) have emerged as successful platforms for providing both high performance and flexibility in building powerful routers. Typical NPs incorporate multiprocessing and multithreading to achieve maximum parallel processing capabilities. We observed that under low incoming traffic rates, processing elements (PEs) in an NP are idle for most of the time but still consume dynamic power. This paper develops a low-power technique to reduce the activities of PEs in accordance with the varying traffic volume. We propose to monitor the average number of idle threads in a time window, and gate off the clock signals to unnecessary PEs when a subset of PEs is enough to handle the network traffic. We solve the difficulties arising from clock gating the PEs, such as redirecting network packets, determining the thresholds of turning on/off PEs, and avoiding unnecessary packet loss. Our technique brings significant reduction in power consumption of NPs with no packet loss and little impact on overall throughput.
更多
查看译文
关键词
low power,typical nps,conserving network processor power,scheduling acm reference format:,network traffic,clock gating,unnecessary pes,traffic variability,low incoming traffic rate,additional key words and phrases: network processor,varying traffic volume,idle thread,dynamic power,redirecting network packet,network processor,clock signal,packet loss,parallel processing,scheduling
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要