LCTI–SS: Low-Clock-Tree-Impact Scan Segmentation for Avoiding Shift Timing Failures in Scan Testing

IEEE Design & Test(2013)

引用 8|浏览9
暂无评分
摘要
In this contribution, the authors describe a method for ensuring that false failures do not occur when shifting scan chains for testing. Their approach identifies an optimal combination of scan segments for simultaneous clocking that reduces the switching activity near clock trees while maintaining the average power reduction for conventional scan segmentation. Experiments using various benchmark circuits demonstrate the overall utility of their approach.
更多
查看译文
关键词
simultaneous clocking,benchmark circuits,clock trees,timing circuits,clock tree,shift power reduction,switching activity,scan testing,lcti ss,shifting scan chains,optimal combination,clocks,avoiding shift timing failures,scan segments,low clock tree impact scan segmentation,scan segmentation,clock skew,average power reduction
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要