Tapp: Temperature-Aware Application Mapping For Noc-Based Many-Core Processors

Design, Automation & Test in Europe Conference & Exhibition(2015)

引用 51|浏览0
暂无评分
摘要
Application mapping with its ability to spread out high-power components can potentially be a good approach to mitigate the looming issue of hotspots in many-core processors. However, very few works have explored effective ways of making tradeoff between temperature and network latency. Moreover, on-chip routers, which are of high power density and may lead to hotspots, are not considered in these works. In this paper, we propose TAPP (Temperature-Aware Partitioning and Placement), an efficient application mapping algorithm to reduce on-chip hotspots while sacrificing little network performance. This algorithm "spreads" high-power cores and routers across the chip by performing hierarchical bi-partitioning of the cores and concurrently conducting placement of the cores onto tiles, and achieves high efficiency and superior scalability. Simulation results show that the proposed algorithm reduces the temperature by up to 6.80 degrees C with minimal latency increase compared to the latency-oriented mapping solution.
更多
查看译文
关键词
algorithm design and analysis,network on chip,power density,benchmark testing,network latency,computer architecture,system on chip,network routing
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要