Investigation of mechanical properties of black diamond tm (low-K) thin films for Cu/low-k interconnect applications

Electronics Packaging Technology Conference Proceedings(2006)

引用 3|浏览2
暂无评分
摘要
The mechanical strength of the low-k dielectric thin films plays vital role in deciding the integrity and reliability of the interconnect structures and Cu/low-k packages. Present study focuses on the thickness dependence of mechanical behavior of BD (low-k, Black Diamond (TM)) thin films of four different thicknesses, 100, 300, 500 and 700 rim. Nanoindentation and nanoscratch tests have been carried out on all samples using the Nano Indenter (R) Y.P (MTS Corp., USA) system. Nanoindentation experiments with CSM (continuous stiffness measurement) attachment have been performed to assess the hardness (H) and elastic modulus (E) properties. The adhesion/cohesion strength of BD films is measured by using nanoscratch ramp loading technique and reported in terms of the critical load (Lc). Hardness and elastic modulus are found to vary with the BD film thickness (100-700 mn), in the range of 2.02-1.78 and 16.48-9.93 GPa respectively. The critical load (Lc) of the BD-100nm film could not be determined and mainly expected due to limited resolution of the equipment. The critical loads for BD films (300-700 nm) are in the range of 13.02-18.52 mN.
更多
查看译文
关键词
hardness,diamond,elastic moduli,thin film,nanoindentation,copper,elastic modulus,adhesion
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要