A thermally-aware energy minimization methodology for global interconnects.

DATE(2017)

引用 23|浏览16
暂无评分
摘要
As a result of the Temperature Effect Inversion (TEI) in FinFET-based designs, gate delays decrease with the increase of temperature. In contrast, the resistive characteristic and hence delay of global interconnects increase with the temperature. However, as shown in this paper, if buffers are judiciously inserted in global interconnects, the buffer delay decrease is more pronounced than the interconnect delay increase, resulting in an overall performance improvement at higher temperatures. More specifically, this work models the delay of buffer-inserted global interconnects vs. temperature in order to derive the optimal number and size of buffers for a given interconnect length and temperature. Furthermore, the paper addresses the problem of minimizing the buffered interconnect energy consumption by changing the supply voltage level or FinFET threshold voltage, and also presents a temperature-aware optimization policy for solving this problem. Simulation results show average interconnect energy savings of 16% with no performance penalty for five different benchmarks implemented on a 14nm FinFET technology.
更多
查看译文
关键词
thermally-aware energy minimization methodology,temperature effect inversion,TEI,FinFET-based design,gate delays,resistive characteristic,buffer delay,performance improvement,buffer-inserted global interconnects,interconnect length,interconnect temperature,buffered interconnect energy consumption minimization,supply voltage level,FinFET threshold voltage,temperature-aware optimization policy,average interconnect energy savings
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要