A Fabrication Process for Emerging Nanoelectronic Devices Based on Oxide Tunnel Junctions

Journal of Nanomaterials(2017)

引用 7|浏览70
暂无评分
摘要
We present a versatile nanodamascene process for the realization of low-power nanoelectronic devices with different oxide junctions. With this process we have fabricated metal/insulator/metal junctions, metallic single electron transistors, silicon tunnel field effect transistors, and planar resistive memories. These devices do exploit one or two nanometric-scale tunnel oxide junctions based on TiO2, SiO2, HfO2, Al2O3, or a combination of those. Because the nanodamascene technology involves processing temperatures lower than 300ᄚC, this technology is fully compatible with CMOS back-end-of-line and is used for monolithic 3D integration.
更多
查看译文
关键词
oxide tunnel junctions,nanoelectronic devices,fabrication process
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要