A cross-layer methodology for design and optimization of networks in 2.5D systems.

ICCAD-IEEE ACM International Conference on Computer-Aided Design(2018)

引用 19|浏览44
暂无评分
摘要
2.5D integration technology is gaining popularity in the design of homogeneous and heterogeneous many-core computing systems. 2.5D network design, both inter- and intra-chiplet, impacts overall system performance as well as its manufacturing cost and thermal feasibility. This paper introduces a cross-layer methodology for designing networks in 2.5D systems. We optimize the network design and chiplet placement jointly across logical, physical, and circuit layers to achieve an energy-efficient network, while maximizing system performance, minimizing manufacturing cost, and adhering to thermal constraints. In the logical layer, our co optimization considers eight different network topologies. In the physical layer, we consider routing, microbump assignment, and microbump pitch constraints to account for the extra costs associated with microbump utilization in the inter-chiplet communication. In the circuit layer, we consider both passive and active links with five different link types, including a gas station link design. Using our cross-layer methodology results in more accurate determination of (superior) inter-chiplet network and 2.5D system designs compared to prior methods. Compared to 2D systems, our approach achieves 29% better performance with the same manufacturing cost, or 25% lower cost with the same performance.
更多
查看译文
关键词
microbump assignment,intrachiplet,network topologies,2.5D system designs,inter-chiplet network,cross-layer methodology results,gas station link design,inter-chiplet communication,microbump pitch constraints,thermal constraints,energy-efficient network,thermal feasibility,manufacturing cost,2.5D network design,heterogeneous many-core computing systems,homogeneous core computing systems,2.5D integration technology
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要