The N3XT Approach to Energy-Efficient Abundant-Data Computing.

Proceedings of the IEEE(2019)

引用 112|浏览125
暂无评分
摘要
The world's appetite for analyzing massive amounts of structured and unstructured data has grown dramatically. The computational demands of these abundant-data applications, such as deep learning, far exceed the capabilities of today's computing systems and are unlikely to be met with isolated improvements in transistor or memory technologies, or integrated circuit architectures alone. To achieve ...
更多
查看译文
关键词
Computer architecture,Through-silicon vias,Nonvolatile memory,CNTFETs,Random access memory
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要