Wafer level integration of an advanced logic-memory system through 2nd generation CoWoS® technology

W. Chris Chen,Clark Hu, K. C. Ting, Vincent Wei, T. H. Yu,S. Y. Huang, V.C.Y. Chang, C. T. Wang,S. Y. Hou,C. H. Wu, Doug Yu

2017 Symposium on VLSI Technology(2017)

引用 18|浏览11
暂无评分
摘要
State-of-the-art silicon interposer technology of chip-on-wafer-on-substrate (CoWoS®) has been applied for the first time in fabricating high performance wafer level system-in-package (WLSiP) containing the 2 nd -generation high bandwidth memory (HBM2). An ultra-large Si interposer up to 1200 mm 2 made by a two-mask stitching process is used to form the basis of the 2 nd -generation CoWoS® (CoWoS®-2) to accommodate chips of logic and memory to achieve the highest possible performance. Yield challenges associated with the high warpage of such a large heterogeneous system are resolved to achieve high package yield. Compared to alternative interposer integration approach such as chip-on-substrate first (CoS-1 st ), CoWoS® offers more competitive design rule to result in better power consumption, transmission loss, and eye diagram. CoWoS®-2 has positioned itself as a flexible 3D IC platform for logic-memory heterogeneous integration between logic SoC and HBM for various high performance computing applications.
更多
查看译文
关键词
CoWoS,TSV,interposer,2.5D,3D IC and HBM
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要