PPAC: A Versatile In-Memory Accelerator for Matrix-Vector-Product-Like Operations

2019 IEEE 30th International Conference on Application-specific Systems, Architectures and Processors (ASAP)(2019)

引用 19|浏览2
暂无评分
摘要
Processing in memory (PIM) moves computation into memories with the goal of improving throughput and energy-efficiency compared to traditional von Neumann-based architectures. Most existing PIM architectures are either general-purpose but only support atomistic operations, or are specialized to accelerate a single task. We propose the Parallel Processor in Associative Content-addressable memory (PPAC), a novel in-memory accelerator that supports a range of matrix-vector-product (MVP)-like operations that find use in traditional and emerging applications. PPAC is, for example, able to accelerate low-precision neural networks, exact/approximate hash lookups, cryptography, and forward error correction. The fully-digital nature of PPAC enables its implementation with standard-cell-based CMOS, which facilitates automated design and portability among technology nodes. To demonstrate the efficacy of PPAC, we provide post-layout implementation results in 28nm CMOS for different array sizes. A comparison with recent digital and mixed-signal PIM accelerators reveals that PPAC is competitive in terms of throughput and energy-efficiency, while accelerating a wide range of applications and simplifying development.
更多
查看译文
关键词
Processing in memory (PIM),Content addressable memory (CAM),Matrix vector product,Hamming distance,Programmable logic array (PLA),Very large scale integration (VLSI),Application specific integrated circuit (ASIC)
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要