System Synthesis and Automated Verification : Design Demands for IoT Devices

semanticscholar(2016)

引用 1|浏览2
暂无评分
摘要
The rise of the Internet of Things has led to an explosion of new sensor computing platforms. In a wide variety of application domains, IoT device manufacturers must design and release new IoT devices regularly with shorter product cycles to maintain competitive advantages, differentiate products, sustain growth, and protect market share. However the size and complexity of these systems are also rapidly growing, and the extreme pressures on time-to-market, design cost, and development risk are driving a voracious demand for new CAD technologies to enable rapid, low cost design of effective IoT platforms with smaller design teams and lower risk. In this article, we present the CAD demands of IoT development whether prototyping, designing devices with commercial off-the-shelf (COTS) chips, performing System-in-Package (SiP) integration, or designing a full custom System on Chip (SoC) implementation. We discuss CAD demands and demonstrate how our prior work in CAD for FPGAs and SoCs begin to address these needs.
更多
查看译文
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要