Mask Process Correction Validation For Multi-Beam Mask Lithography

PHOTOMASK TECHNOLOGY 2018(2018)

引用 5|浏览6
暂无评分
摘要
Mask Process Correction (MPC) is well established as a necessary step in mask data preparation (MDP) for electron beam mask manufacturing at advanced technology nodes from 14nm and beyond. MPC typically uses an electron scatter model to represent e-beam exposure and a process model to represent develop and etch process effects [1]. The models are used to iteratively simulate the position of layout feature edges and move edge segments to maximize the edge position accuracy of the completed mask. Selective dose assignment can be used in conjunction with edge movement to simultaneously maximize process window and edge position accuracy [2]. MPC methodology for model calibration and layout correction has been developed and optimized for the vector shaped beam (VSB) mask writers that represent the dominant mask lithography technology in use today for advanced mask manufacturing [3].Multi-beam mask writers (MBMW) have recently been introduced and are now beginning to be used in volume photomask production [4]. These new tools are based on massively parallel raster scan architectures that significantly reduce the dependence of write time on layout complexity and are expected to augment and eventually replace VSB technology for advanced node masks as layout complexity continues to grow [5] [6].While it is expected that existing MPC methods developed for VSB lithography can be easily adapted to MBMW, a rigorous examination of mask error correction for MBMW is necessary to fully confirm applicability of current tools and methods, and to identify any modifications that may be required to achieve the desired CD performance of MBMW. In this paper we will present the results of such a study and confirm the readiness of MPC for multi-beam mask lithography.
更多
查看译文
关键词
Photomask, Curvilinear, MPC, e-beam, multi-beam, proximity effect correction, ILT
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要