How Utilizing Curvilinear Design Enables Better Manufacturing Process Window

DESIGN-PROCESS-TECHNOLOGY CO-OPTIMIZATION FOR MANUFACTURABILITY XIV(2021)

引用 0|浏览0
暂无评分
摘要
For over ten years, lithographers have been attempting to use ILT to maximize the wafer process window. Only recently has the ability been available to manufacture the curvilinear ILT reticles. It has recently been shown that migrating the mask data to a purely curvilinear path (avoiding Manhattanization after ILT output) maximizes wafer process yield by minimizing mask variability. Therefore, the last two steps of the design+manufacturing flow can be done in a completely curvilinear way. It is now time to extend these ideas to design itself. It has been demonstrated earlier that these designs can reduce the number masks needed for a device. We will show the ability to achieve better device behavior by requesting more manufacturable shapes. As part of this we will suggest how to update the existing Manhattan design rule check (DRC) rules with curvilinear ones.
更多
查看译文
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要