A Graph Neural Network Method for Fast ECO Leakage Power Optimization

2022 27th Asia and South Pacific Design Automation Conference (ASP-DAC)(2022)

引用 4|浏览6
暂无评分
摘要
In modern design, engineering change order (ECO) is often utilized to perform power optimization including gate-sizing and Vth-assignments, which is efficient but highly timing consuming. Many graph neural network (GNN) based methods are recently proposed for fast and accurate ECO power optimization by considering neighbors' information. Nonetheless, these works fail to learn high-quality node rep...
更多
查看译文
关键词
Design engineering,Runtime,Network topology,Directed graphs,Predictive models,Logic gates,Graph neural networks
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要