Progress in EUV resists towards high-NA EUV lithography

Extreme Ultraviolet (EUV) Lithography X(2019)

引用 4|浏览4
暂无评分
摘要
High-NA extreme ultraviolet lithography (EUVL) is going to deliver the high-volume manufacturing (HVM) patterning for sub-7 nm nodes for the semiconductor industry. One of the critical challenges is to develop suitable EUV resists at high resolution with high sensitivity and low line-edge roughness (LER). The resist performance is generally limited by the resolution-LER-sensitivity (RLS) tradeoff and it is critical to find new resists that have a performance beyond this tradeoff. EUV interference lithography (EUV-IL) is a powerful and efficient technique that can print high resolution: half pitch (HP) down to 6 nm nanostructures. In this work, we evaluate the performance of the EUV resists, including molecular resist, inorganic resist, chemically-amplified (CAR) and metal sensitizer chemically-amplified resist (Metal-CAR). Six resists with the best performance have been compared in dose-to-size, line-edge roughness, exposure latitude for half pitch 16 nm and 14 nm. The molecular resist A showed lowest dose to resolve HP 16 nm (35 mJ/cm2) and 14 nm (41 mJ/cm2) but with high line edge roughness (LER 3.5 nm). CAR resist C provided lowest LER 1.9 and 1.8 nm for HP 16 nm and HP 14 nm, respectively, but with higher doses 74 mJ/cm2 (HP 16 nm) and 69 mJ/cm2 (HP 14 nm). The inorganic resist showed comprehensive good performance, giving low LER of 2.1 nm with 50 mJ/cm2 and 42 mJ/cm2 for HP 16 nm and HP 14 nm, respectively. Using the simplified Z-factor model, we showed that the LER of the resists was improved over the last two years. As the inorganic resist could resolve HP 11 nm with dose 67 mJ/cm2, we conclude it to be the current best candidate to partially resolve the RLS tradeoff problem and could be the potential EUV resist for semiconductor technological node printing.
更多
查看译文
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要