Optimization of a line detection algorithm for autonomous vehicles on a RISC-V with accelerator

Journal of Computer Science and Technology(2022)

引用 0|浏览1
暂无评分
摘要
In recent years, autonomous vehicles have attracted the attention of many research groups, both in academia and business, including researchers from leading com- panies such as Google, Uber and Tesla. This type of vehicles are equipped with systems that are subject to very strict requirements, essentially aimed at per- forming safe operations –both for potential passengers and pedestrians– as well as carrying out the process- ing needed for decision making in real time. In many instances, general-purpose processors alone cannot ensure that these safety, reliability and real-time re- quirements are met, so it is common to implement heterogeneous systems by including accelerators. This paper explores the acceleration of a line detection ap- plication in the autonomous car environment using a heterogeneous system consisting of a general-purpose RISC-V core and a domain-specific accelerator. In par- ticular, the application is analyzed to identify the most computationally intensive parts of the code and it is adapted accordingly for more efficient processing. Fur- thermore, the code is executed on the aforementioned hardware platform to verify that the execution effec- tively meets the existing requirements in autonomous vehicles, experiencing a 3.7x speedup with respect to running without accelerator.
更多
查看译文
关键词
autonomous vehicles,image processing,risc-v,firesim,matrix accelerator
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要