In-situ electrical monitoring of SiO2/Si structures in low-temperature plasma using impedance spectroscopy

Junki Morozumi, Takahiro Goya, Tomohiro Kuyama,Koji Eriguchi,Keiichiro Urabe

Japanese Journal of Applied Physics(2023)

引用 1|浏览1
暂无评分
摘要
Abstract To investigate the electrical properties and degradation features of dielectric materials during plasma exposure, we developed an in-situ impedance spectroscopy (IS) system. We applied the proposed system to monitor SiO2/Si structures exposed to Ar plasma. By analyzing the measured data based on an equivalent circuit model considering the plasma and SiO2/Si structures, we obtained the resistance (R) and capacitance (C) values for the SiO2 film and SiO2/Si interface. In a cyclic experiment of in-situ IS and high-energy ion irradiation, we characterized dielectric degradation by ion irradiation based on the variations in the R and C values of the SiO2 film. A continuous in-situ IS measurement revealed temporal variations in the electrical properties of the film and interface independently. The thickness-dependent degradation observed for the RC variation was analyzed and compared with the results of previous ex-situ measurement studies. This study demonstrates that the in-situ IS measurement technique is promising for monitoring plasma-assisted dry processes.
更多
查看译文
关键词
situ electrical monitoring,sio<sub>2</sub>/si,plasma,low-temperature
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要