Reliability Characterization of HBM featuring HK plus MG Logic Chip with Multi-stacked DRAMs

2023 IEEE INTERNATIONAL RELIABILITY PHYSICS SYMPOSIUM, IRPS(2023)

引用 0|浏览2
暂无评分
摘要
With the growth of high-speed computing memory, the HBM (High Bandwidth Memory) has been developed using advanced process technologies including high-k and metal gate process for the interfacing logic chip and 3D DRAM stack structures with TSV connections. This paper reviews overall reliability of the advanced HBM with 17nm DRAM process from device level to product level. This includes the product aging focused on logic buffer die and environmental reliability of the integrated multi-layer structure. Intrinsic FEOL and BEOL reliability such as TDDB, NBTI and EM were demonstrated >10 years of lifetime. Ni/Cu UBM (Under Bump Material) improved EM lifetime by 15x compared to the previous Ni UBM. In addition, a novel package test method considering mechanical stress on 2.5D SiP (silicon in package) enabled the interconnect reliability including TSV/micro bump EM and package environmental tests level to be evaluated more precisely. Reliability of HBM with 17nm high-k metal gate process showed robustness and meets 10yrs lifetime with HTOL over 1000hrs aging, hot temperature storage, temperature humidity bias and precondition including multiple cycles of IR reflow for production.
更多
查看译文
关键词
HBM, NBTI, HTOL, NCF, Reliabillity
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要