一种高能效双发射处理器的设计与实现

ZHANG Xinyu, LIU Liang, WANG Chunmeng, JIANG Song,YI Jiangfang

Acta Scientiarum Naturalium Universitatis Pekinensis(2023)

引用 0|浏览5
暂无评分
摘要
为了提高高能效处理器的性能,基于 ECore 嵌入式处理器平台,在单反射按序流水线结构中引入两种轻量化的超标量结构——压缩指令双发射结构和选择性重命名结构.在 Verilator 生成的 C++模型上进行的模拟实验结果表明,通过增加压缩指令双发射结构,流水线双发利用率平均值达到 28%.通过增加选择性重命名结构,因名称冒险导致的流水线停顿占比从 7.2%降至 0.6%.相对于优化前,处理器的 IPC 提升 4.8%,而功耗仅增加 2.5%.
更多
关键词
energy efficient processor,dual-issue,register renaming
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要