Mage: Adaptive Granularity And Ecc For Resilient And Power Efficient Memory Systems

SC '12 Proceedings of the 2012 International Conference for High Performance Computing, Networking, Storage and Analysis(2012)

引用 14|浏览1
暂无评分
摘要
Resiliency is one of the toughest challenges in high-performance computing, and memory accounts for a significant fraction of errors. Providing strong error tolerance in memory usually requires a wide memory channel that incurs a large access granularity (hence, a large cache line). Unfortunately, applications with limited spatial locality waste memory power and bandwidth on systems with a large access granularity. Thus, careful design considerations must be made to balance memory system performance, power efficiency, and resiliency.In this paper, we propose MAGE, a Memory system with Adaptive Granularity and ECC, to achieve high performance, power efficiency, and resiliency. MAGE can adapt memory access granularities and ECC schemes to applications with different memory behaviors. Our experiments show that MAGE achieves more than a 28% energy-delay product improvement, compared to the best existing systems with static granularity and ECC.
更多
查看译文
关键词
large access granularity,power efficiency,different memory behavior,memory access granularity,memory account,memory power,memory system performance,wide memory channel,ECC scheme,large cache line,Adaptive Granularity,power efficient memory system
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要