Self-aligned double patterning process for 32/32nm contact/space and beyond using 193 immersion lithography

Bencherki Mebarki,Liyan Miao,Yongmei Chen,James Yu, Pokhui Blanco, James Makeeff, Jen Shu,Chris Bencher,Mehul Naik, Christopher Sui Wing Ngai

Proceedings of SPIE(2010)

引用 5|浏览6
暂无评分
摘要
State of the art production single print lithography for contact is limited to similar to 43-44nm half-pitch given the parameters in the classic photolithography resolution formula for contacts in 193 immersion tool (k1 >= 0.3, NA = 1.35, and lambda = 193nm). Single print lithography limitations can be overcome by (1) Process / Integration based techniques such as double-printing (DP), and spacer based self-aligned double patterning (SADP), (2) Non-standard printing techniques such as electron-beam (eBeam), extreme ultraviolet lithography (EUVL), nano-imprint Lithography (NIL). EUV tools are under development, while nanoimprint is a developmental tool only. Spacer based SADP for equal line/space is well documented as successful patterning technique for 3xnm and beyond. In this paper, we present an adaptation of self-aligned double patterning process to 2-D regular 32/32nm contact/space array. Using SADP process, we successfully achieved an equal contact/space of 32/32nm using 193 immersion lithography that is only capable of 43-44nm resolvable half-pitch contact printing. The key and unique innovation of this work is the use of a 2-D (x and y axis) pillar structure to achieve equal contact/space. Final result is a dense contact array of 32nm half-pitch in 2-D structure (x and y axis). This is achieved on simplified stack of Substrate / APF/Nitride. Further transfer of this new contact pattern from nitride to the substrate (e. g., Oxide, APF, Poly, Si...) is possible. The technique is potentially extendible to 22/22nm contact/space and beyond.
更多
查看译文
关键词
Contact,Patterning,Lithography,Self-Aligned,Spacer,Core,frequency doubling
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要