Automated Design Space Exploration of CGRA Processing Element Architectures using Frequent Subgraph Analysis

arxiv(2021)

引用 3|浏览43
暂无评分
摘要
The architecture of a coarse-grained reconfigurable array (CGRA) processing element (PE) has a significant effect on the performance and energy efficiency of an application running on the CGRA. This paper presents an automated approach for generating specialized PE architectures for an application or an application domain. Frequent subgraphs mined from a set of applications are merged to form a PE architecture specialized to that application domain. For the image processing and machine learning domains, we generate specialized PEs that are up to 10.5x more energy efficient and consume 9.1x less area than a baseline PE.
更多
查看译文
关键词
cgra processing element architectures,frequent subgraph analysis,design
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要